TEST

use ieee.std_logic_1164.all; ENTITY and IS PORT ( A, B : IN STD_LOGIC; C : OUT STD_LOGIC); END and; ARCHITECTURE and_behaviour OF and IS COMPONENT andgate PORT(a, b : IN BIT; c : OUT BIT); END andgate; BEGIN U1 : andgate(A => a, B => b, C => c); END and_behaviour;

Be the first to comment

You can use [html][/html], [css][/css], [php][/php] and more to embed the code. Urls are automatically hyperlinked. Line breaks and paragraphs are automatically generated.